Answer to Question #85276 in Electric Circuits for Anand

Question #85276
Design and draw a Mod-12 counter. Explain its working.
1
Expert's answer
2019-02-25T10:44:22-0500

The asynchronous mod-12 counter is shown below:



Mod-12 counters are designed to count states triggered by an input clock signal. The Mod-12 counter counts 12 clock signals from 1 to 12, then returns back to 1 and starts counting to 12 again. Mod-N counters with number of states "N=2^n" require "n"triggers, mod counters with the number of states that can't be expressed by this formula, require using logical elements also.

The word asynchronous means the data bits states change one after another independently on the input clock signal (shown on the left). Synchronous counters change states at a time synchronously with the input clock signal.


Need a fast expert's response?

Submit order

and get a quick answer at the best price

for any assignment or question with DETAILED EXPLANATIONS!

Comments

No comments. Be the first!

Leave a comment

LATEST TUTORIALS
New on Blog
APPROVED BY CLIENTS